Registrieren    Anmelden    Forum    Suche    FAQ

Foren-Übersicht » Alarm Modem Projektierung » Webserver




Ein neues Thema erstellen Auf das Thema antworten  [ 9 Beiträge ] 
Autor Nachricht
 Betreff des Beitrags:
 Beitrag Verfasst: Di Feb 09, 2010 10:12 am 
Tixi-Support-Team

Registriert: Mo Jul 11, 2005 5:50 pm
Beiträge: 1351
Wohnort: Berlin
Ludwig hat geschrieben:
Verehrte Supportler!

Die Ausgangsfrage bestand ja genau darin: Kann ich der TILA

1. die Zeitsynchronisation von Herrn Junk, hier die Aliasdefinition
2. differenziertere Passwörter vor allem für den abgestuften Webzugriff
3. ein verlängertes PPP-Timeout

per Editor unterschieben, ohne dass die TILA mir meine Konfiguration jedes Mal zerschießt?

Daran sind wir bisher gescheitert, die Einträge müssen nach jedem Öffnen mit der TILA manuell neu eingefügt werden.

Daraus enstand die Frage, ob man direkt auf PVs schreiben kann, um sich im Fall 1 den Alias zu sparen. Das gelang ebenfalls nicht, nur lesender Zugriff. Frage hier: Ist das korrekt oder doch ein Syntaxfehler?

Mfg Ludwig


In ein Standard-Tila2-Projekt, aus Zeitgründen ohne Zugangsbeschränkung, kann mit <NewTime def="0" hidden="1"/> eine eigene Prozessvariable eingefügt werden, die alle weiteren Änderungen an den PV mit Tila2 übersteht.
Auf diese PV kann direkt in der Browseraddresszeile mit
http://192.168.0.1/cgi-bin/writeVal.exe ... e+09:42:10
schreibend zugegriffen werden.
Auch im http-Projekt kann schreibend zugegriffen werden. Hier ein Testbeispiel:

<html>
<!--Script to show the cgi result in a small window-->
<script language="JavaScript">
function Setwindow()
{
NewWindow1=window.open("","Result","width=20,height=20,resizable=no,scrollbars=no,top=50,left=50");
NewWindow1.focus();
}
</script>

<!--Form to write a value to a variable-->
<body>
<FORM action="../cgi-bin/writeVal.exe" method="get" target="Result">
NewTime Value:
<INPUT type="text" name="/Process/PV/NewTime" value=""/>
<INPUT type="submit" value="set" onclick="Setwindow()"/>
</FORM>
</body>
</html>

_________________
Tixi Support Team

E-Mail-Support, Mo-Fr, 9:00 - 17:00: Tixi-Support@tixi.com
techn. Hotline, Mo-Fr, 9:00 - 12:00 und 13:00 - 17:00: 0900-100 90 11 für 2€/min


Nach oben 
   
Mit Zitat antworten  
 Betreff des Beitrags:
 Beitrag Verfasst: Mo Feb 08, 2010 11:11 pm 
Alter Hase

Registriert: Sa Aug 12, 2006 6:35 pm
Beiträge: 89
Verehrte Supportler!

Die Ausgangsfrage bestand ja genau darin: Kann ich der TILA

1. die Zeitsynchronisation von Herrn Junk, hier die Aliasdefinition
2. differenziertere Passwörter vor allem für den abgestuften Webzugriff
3. ein verlängertes PPP-Timeout

per Editor unterschieben, ohne dass die TILA mir meine Konfiguration jedes Mal zerschießt?

Daran sind wir bisher gescheitert, die Einträge müssen nach jedem Öffnen mit der TILA manuell neu eingefügt werden.

Daraus enstand die Frage, ob man direkt auf PVs schreiben kann, um sich im Fall 1 den Alias zu sparen. Das gelang ebenfalls nicht, nur lesender Zugriff. Frage hier: Ist das korrekt oder doch ein Syntaxfehler?

Mfg Ludwig


Nach oben 
   
Mit Zitat antworten  
 Betreff des Beitrags:
 Beitrag Verfasst: Mo Feb 08, 2010 10:28 am 
Tixi-Support-Team

Registriert: Mo Jul 11, 2005 5:50 pm
Beiträge: 1351
Wohnort: Berlin
HIT_PJ hat geschrieben:
- hidden ist soweit ich es in Erinnerung habe eine "Tila-Eigenschaft", die im Gerät keine Wirkung hat. Aber das sollte der Tixi-Support besser beantworten können.


Das ist korrekt. Das Attribut hidden wird von Tila2/Tilanet verwendet um Bestandteile der Konfiguration auszublenden. Dieses Attribut kann und sollte auch nicht auf alle Bestandteile des Tila-Projektes angewendet werden.

_________________
Tixi Support Team

E-Mail-Support, Mo-Fr, 9:00 - 17:00: Tixi-Support@tixi.com
techn. Hotline, Mo-Fr, 9:00 - 12:00 und 13:00 - 17:00: 0900-100 90 11 für 2€/min


Nach oben 
   
Mit Zitat antworten  
 Betreff des Beitrags:
 Beitrag Verfasst: Mo Feb 01, 2010 10:50 am 
Erfahrener

Registriert: Mo Jul 25, 2005 11:18 am
Beiträge: 25
Wohnort: Berlin
Ludwig hat geschrieben:
Genauso kann ich in Ihrer Webseite auf "NewTime" schreiben, nicht aber direkt auf "/Process/PV/NewTime".
:
Daher wollte ich den Alias mit dem Attribut "hidden" verstecken, wofür mir aber das Knowhow fehlt.


Wie schon gesagt, so eine richtige Hilfe bin ich nicht, schon zu lange her.
- über Browser mal so "Process/PV/NewTime", ohne "/" probieren.

- hidden ist soweit ich es in Erinnerung habe eine "Tila-Eigenschaft", die im Gerät keine Wirkung hat. Aber das sollte der Tixi-Support besser beantworten können.


Nach oben 
   
Mit Zitat antworten  
 Betreff des Beitrags:
 Beitrag Verfasst: So Jan 31, 2010 11:03 pm 
Alter Hase

Registriert: Sa Aug 12, 2006 6:35 pm
Beiträge: 89
Hallo Herr Junk!
Zitat:
Das Schreiben kann für den Test auch über die Browserzeile erfolgen:

Genau darüber war ich gestolpert:
Nach http://192.168.241.1/cgi-bin/writeVal.e ... mmerFlag+0
kam

Code:
0HTTP/1.0 404 Not Found
Pragma: no-cache
Expires: Mon, 01 Jan 1990 00:00:00 GMT
Content-Type: text/plain

<D>
<ErrNo _="-101"/>
<ErrText _="no write access allowed for this variable"/>
<Line _="143"/>
<Module _="dataserv"/>
<Class _="TXDataServer"/>
</D>


Lesen kann ich das SummerFlag problemlos.
Genauso kann ich in Ihrer Webseite auf "NewTime" schreiben, nicht aber direkt auf "/Process/PV/NewTime".

Ich fand es auch ganz sinnig, dass der Kunde nicht einfach über die Browserzeile am Prozess rumfummeln kann. Lediglich die Variablen, die ich in einer für ihn zugänglichen Seite sowieso beschreiben will und daher per Alias mit RW beflaggt habe, könnte er dann auch direkt verändern?!
So hatte ich mir das Verhalten bisher erklärt. Ist das evtl. firmwareabhängig?

Daher wollte ich den Alias mit dem Attribut "hidden" verstecken, wofür mir aber das Knowhow fehlt.
Ich habe das hidden jetzt 'mal auf die Aliasebene gepackt:
Code:
<Aliases hidden="1">
<NewTime _="/Process/PV/NewTime" acc="RW" />
</Aliases>


Neben diesem Detail stellt sich halt noch die Frage, ob man die AccessRights überhaupt so hinbiegen kann, dass man
1. mit der TILA zugreifen kann (nur Elektroabteilung)
und trotzdem
2. 2 Zugriffsstufen für den Webzugriff hat (1 Kunde, 2 unser Chef)

Gruß Ludwig


Nach oben 
   
Mit Zitat antworten  
 Betreff des Beitrags:
 Beitrag Verfasst: Fr Jan 29, 2010 12:16 pm 
Erfahrener

Registriert: Mo Jul 25, 2005 11:18 am
Beiträge: 25
Wohnort: Berlin
Das Schreiben in das Gerät wird durch diese Zeile realisiert:
Code:
frames["cgiresult"].location="../cgi-bin/writeVal.exe?NewTime+" + s;

Das Schreiben kann für den Test auch über die Browserzeile erfolgen:
Code:
http://192.168.0.1/cgi-bin/writeVal.exe?NewTime+...


CGIs ist das Schlagwort, beschrieben u.a. im Webserver-Tixml-Handbuch. In Bezug auf die Tila kann ich nicht helfen, da ich nur mit Tico arbeite.
Und der obige Artikel ist ja nun schon einige Jahre alt...


Nach oben 
   
Mit Zitat antworten  
 Betreff des Beitrags:
 Beitrag Verfasst: Do Jan 28, 2010 11:09 am 
Alter Hase

Registriert: Sa Aug 12, 2006 6:35 pm
Beiträge: 89
Ich stehe im Detail bei der Umsetzung der o.g. Zeitaktualisierung vor folgendem Problem:

Ziel ist es, das Tixi auch weiterhin per TILA konfigurieren zu können. Dehalb haben wir etwas ziellos, da "hidden" nicht dokumentiert ist, den Code per Editor fogendermaßen erweitert.

Es sieht aber so aus, als würde TILA so damit nicht zurecht kommen, im Ergebnis war [edit:] nur der Alias weg.

Wie geht es richtig, wenn es geht?

Code:
<Aliases>
<NewTime _="/Process/PV/NewTime" acc="RW"  hidden="1"/>
</Aliases>

<ProcessVars>
<NewTime def="0" hidden=1/>
</ProcessVars>

<EventStates>
<NewTime_State hidden=1>
<Enabled _="TRUE"/>
<Event _="EvNewTime" />
<ProcessVar _="/Process/PV/NewTime" />
</NewTime_State>
</EventStates>

<EventHandler>
<EvNewTime hidden=1>
<SetTime _="&#xae;/Process/PV/NewTime;" ver="v"/>
<Set _="/Process/PV/NewTime" value="0" ver="v"/>
</EvNewTime>
</EventHandler>


[edit:] Wie schreibe ich in der Webseite denn richtig direkt auf /Process/PV/NewTime?

Zusatzfrage zum gleiche Thema: Passwörter mit Benutzernamen und höhere AccessLevel contra TILA ?
[edit:] Dabei gibt es Fehlermeldungen. Was ist zu beachten?


Nach oben 
   
Mit Zitat antworten  
 Betreff des Beitrags:
 Beitrag Verfasst: Mi Jan 20, 2010 12:40 pm 
Alter Hase

Registriert: Sa Aug 12, 2006 6:35 pm
Beiträge: 89
Danke!
(Diese Funktion vom SPS-Forum vermisse ich gerade.)


Nach oben 
   
Mit Zitat antworten  
 Betreff des Beitrags: Zeitsynchronisation per Webseite
 Beitrag Verfasst: Do Aug 24, 2006 2:10 pm 
Erfahrener

Registriert: Mo Jul 25, 2005 11:18 am
Beiträge: 25
Wohnort: Berlin
Das Beispiel zeigt eine Möglichkeit der Kontrolle der internen Echtzeituhr
inclusive einer Zeitsynchronisation PC-Tiximodem. Die Webseite bringt die
Onlinezeit vom Tiximodem und die PC-Zeit zur Anzeige. Das Datum, welches vom Tixi
im Format 'yyyy/mm/dd' geliefert wird, wird in der JavaScript-Function writeMFMDate
reformatiert und in der in Deutschland üblichen Kurzform 'dd.mm.jj' ausgegeben.
Mittels Button auf der Webseite wird das Tiximodem bei Bedarf mit der PC-Zeit synchronisiert.
Bei Betätigung des Buttons wird im Browser die JavaScript-Funktion 'SyncDate'
ausgeführt, die Datum und Uhrzeit formatgerecht zusammenbaut und über 'writeVal.exe'
in die freie Prozessvariable NewTime des Tiximodems schreibt. Die Function 'SyncDate'
enthält eine Zeitkorrektur von einer Stunde, die je nach verwendeter Firmwareversion
u.U. entfallen kann.
Die Wertänderung von NewTime zu ungleich 0 löst ein Event aus, welches
die Uhr über 'SetTime' stellt. Im selben Event wird NewTime wieder zu Null gesetzt,
um bei erneutem Schreiben auf NewTime wieder ein Event auszulösen.

<ProcessVars>
<NewTime def="0"/>
</ProcessVars>

<EventStates>
<NewTime_State>
<Enabled _="TRUE"/>
<Event _="EvNewTime" />
<ProcessVar _="/Process/PV/NewTime" />
</NewTime_State>
</EventStates>

<EventHandler>
<EvNewTime>
<SetTime _="&#xae;/Process/PV/NewTime;" ver="v"/>
<Set _="/Process/PV/NewTime" value="0" ver="v"/>
</EvNewTime>
</EventHandler>

<WebServer>
<Aliases>
<NewTime _="/Process/PV/NewTime" acc="RW" />
</Aliases>
</WebServer>

HTML-Code Webseite
------------------
<html>
<head>
<title>H&amp;IT Alarmmodem mit PC-Zeit synchronisieren</title>
<meta name="author" content="Peter Junk">
<meta http-equiv="expires" content="0">
<script language="JavaScript">
<!--
function writeMFMDate () {
var datum = "&#xae;/TIMES/DATE;"; //2005/07/13
var s;
s = datum.substr(8,2) + "." + datum.substr(5,2) + "." + datum.substr(2,2) ;
document.write(s + '&nbsp;&#xae;/TIMES/TIME;');
return
}
function writePCDate () {
var datum = new Date();
document.write(datum.toLocaleString());
return
}
function SyncDate () {
var datum = new Date();
//Datum um 1h korr. z.B. für FW 2.1.30
var Absolutdatum = datum.getTime()- 3600000;
datum.setTime(Absolutdatum);
// Datum als YYYY/MM/DD,hh:mm:ss
var monat = datum.getMonth()+1;
var s = datum.getFullYear() + "/" + monat + "/" + datum.getDate();
s += "," + datum.getHours() + ":" + datum.getMinutes() + ":" + datum.getSeconds();
frames["cgiresult"].location="../cgi-bin/writeVal.exe?NewTime+" + s;
window.status = "Datum und Uhrzeit synchronisieren...";
window.setTimeout ("window.location.reload ()", 6000);
return
}
//-->
</script>
</head>
<body style="background-color:#FFFFC0;">
<div align="center" style="font:bold 12pt Tahoma, Verdana, Arial;">
<p style="font:bold 14pt Tahoma, Verdana, Arial;color: blue;">
Alarmmodem mit PC-Zeit synchronisieren</p>
<p>
Onlinezeit: <script language="JavaScript"> writeMFMDate();</script><br>
PC-Zeit: <script language="JavaScript"> writePCDate();</script><br>
</p>
<p>
<button type="button" Title="Alarmmodem mit PC-Zeit synchronisieren"
onclick="SyncDate();">Synchronisation</button>
</p>
<p>copyright &copy; 2006 - H&amp;IT GmbH</p>
</div>
<!--Ziel fuer CGI-->
<iframe width="0" height="0" name="cgiresult">
</iframe>
</body>
</html>


Nach oben 
   
Mit Zitat antworten  
Beiträge der letzten Zeit anzeigen:  Sortiere nach  
 
Ein neues Thema erstellen Auf das Thema antworten  [ 9 Beiträge ] 

Foren-Übersicht » Alarm Modem Projektierung » Webserver


Wer ist online?

Mitglieder in diesem Forum: 0 Mitglieder und 4 Gäste

 
 

 
Du darfst keine neuen Themen in diesem Forum erstellen.
Du darfst keine Antworten zu Themen in diesem Forum erstellen.
Du darfst deine Beiträge in diesem Forum nicht ändern.
Du darfst deine Beiträge in diesem Forum nicht löschen.
Du darfst keine Dateianhänge in diesem Forum erstellen.

Suche nach:
Gehe zu:  
cron
Deutsche Übersetzung durch phpBB.de